Lines Matching refs:IdentifierInfo

537   bool is(const IdentifierInfo *II) const {  in is()
1161 JsExtraKeywords = std::unordered_set<IdentifierInfo *>( in AdditionalKeywords()
1168 CSharpExtraKeywords = std::unordered_set<IdentifierInfo *>( in AdditionalKeywords()
1186 std::unordered_set<IdentifierInfo *>({kw_always, in AdditionalKeywords()
1314 IdentifierInfo *kw_final;
1315 IdentifierInfo *kw_override;
1316 IdentifierInfo *kw_in;
1317 IdentifierInfo *kw_of;
1318 IdentifierInfo *kw_CF_CLOSED_ENUM;
1319 IdentifierInfo *kw_CF_ENUM;
1320 IdentifierInfo *kw_CF_OPTIONS;
1321 IdentifierInfo *kw_NS_CLOSED_ENUM;
1322 IdentifierInfo *kw_NS_ENUM;
1323 IdentifierInfo *kw_NS_OPTIONS;
1324 IdentifierInfo *kw___except;
1325 IdentifierInfo *kw___has_include;
1326 IdentifierInfo *kw___has_include_next;
1329 IdentifierInfo *kw_as;
1330 IdentifierInfo *kw_async;
1331 IdentifierInfo *kw_await;
1332 IdentifierInfo *kw_declare;
1333 IdentifierInfo *kw_finally;
1334 IdentifierInfo *kw_from;
1335 IdentifierInfo *kw_function;
1336 IdentifierInfo *kw_get;
1337 IdentifierInfo *kw_import;
1338 IdentifierInfo *kw_infer;
1339 IdentifierInfo *kw_is;
1340 IdentifierInfo *kw_let;
1341 IdentifierInfo *kw_module;
1342 IdentifierInfo *kw_readonly;
1343 IdentifierInfo *kw_set;
1344 IdentifierInfo *kw_type;
1345 IdentifierInfo *kw_typeof;
1346 IdentifierInfo *kw_var;
1347 IdentifierInfo *kw_yield;
1350 IdentifierInfo *kw_abstract;
1351 IdentifierInfo *kw_assert;
1352 IdentifierInfo *kw_extends;
1353 IdentifierInfo *kw_implements;
1354 IdentifierInfo *kw_instanceof;
1355 IdentifierInfo *kw_interface;
1356 IdentifierInfo *kw_native;
1357 IdentifierInfo *kw_package;
1358 IdentifierInfo *kw_synchronized;
1359 IdentifierInfo *kw_throws;
1362 IdentifierInfo *kw_mark;
1363 IdentifierInfo *kw_region;
1366 IdentifierInfo *kw_extend;
1367 IdentifierInfo *kw_option;
1368 IdentifierInfo *kw_optional;
1369 IdentifierInfo *kw_repeated;
1370 IdentifierInfo *kw_required;
1371 IdentifierInfo *kw_returns;
1374 IdentifierInfo *kw_signals;
1375 IdentifierInfo *kw_qsignals;
1376 IdentifierInfo *kw_slots;
1377 IdentifierInfo *kw_qslots;
1380 IdentifierInfo *kw_internal_ident_after_define;
1383 IdentifierInfo *kw_dollar;
1384 IdentifierInfo *kw_base;
1385 IdentifierInfo *kw_byte;
1386 IdentifierInfo *kw_checked;
1387 IdentifierInfo *kw_decimal;
1388 IdentifierInfo *kw_delegate;
1389 IdentifierInfo *kw_event;
1390 IdentifierInfo *kw_fixed;
1391 IdentifierInfo *kw_foreach;
1392 IdentifierInfo *kw_implicit;
1393 IdentifierInfo *kw_init;
1394 IdentifierInfo *kw_internal;
1396 IdentifierInfo *kw_lock;
1397 IdentifierInfo *kw_null;
1398 IdentifierInfo *kw_object;
1399 IdentifierInfo *kw_out;
1401 IdentifierInfo *kw_params;
1403 IdentifierInfo *kw_ref;
1404 IdentifierInfo *kw_string;
1405 IdentifierInfo *kw_stackalloc;
1406 IdentifierInfo *kw_sbyte;
1407 IdentifierInfo *kw_sealed;
1408 IdentifierInfo *kw_uint;
1409 IdentifierInfo *kw_ulong;
1410 IdentifierInfo *kw_unchecked;
1411 IdentifierInfo *kw_unsafe;
1412 IdentifierInfo *kw_ushort;
1413 IdentifierInfo *kw_when;
1414 IdentifierInfo *kw_where;
1417 IdentifierInfo *kw_always;
1418 IdentifierInfo *kw_always_comb;
1419 IdentifierInfo *kw_always_ff;
1420 IdentifierInfo *kw_always_latch;
1421 IdentifierInfo *kw_assign;
1422 IdentifierInfo *kw_assume;
1423 IdentifierInfo *kw_automatic;
1424 IdentifierInfo *kw_before;
1425 IdentifierInfo *kw_begin;
1426 IdentifierInfo *kw_begin_keywords;
1427 IdentifierInfo *kw_bins;
1428 IdentifierInfo *kw_binsof;
1429 IdentifierInfo *kw_casex;
1430 IdentifierInfo *kw_casez;
1431 IdentifierInfo *kw_celldefine;
1432 IdentifierInfo *kw_checker;
1433 IdentifierInfo *kw_clocking;
1434 IdentifierInfo *kw_constraint;
1435 IdentifierInfo *kw_cover;
1436 IdentifierInfo *kw_covergroup;
1437 IdentifierInfo *kw_coverpoint;
1438 IdentifierInfo *kw_default_decay_time;
1439 IdentifierInfo *kw_default_nettype;
1440 IdentifierInfo *kw_default_trireg_strength;
1441 IdentifierInfo *kw_delay_mode_distributed;
1442 IdentifierInfo *kw_delay_mode_path;
1443 IdentifierInfo *kw_delay_mode_unit;
1444 IdentifierInfo *kw_delay_mode_zero;
1445 IdentifierInfo *kw_disable;
1446 IdentifierInfo *kw_dist;
1447 IdentifierInfo *kw_elsif;
1448 IdentifierInfo *kw_end;
1449 IdentifierInfo *kw_end_keywords;
1450 IdentifierInfo *kw_endcase;
1451 IdentifierInfo *kw_endcelldefine;
1452 IdentifierInfo *kw_endchecker;
1453 IdentifierInfo *kw_endclass;
1454 IdentifierInfo *kw_endclocking;
1455 IdentifierInfo *kw_endfunction;
1456 IdentifierInfo *kw_endgenerate;
1457 IdentifierInfo *kw_endgroup;
1458 IdentifierInfo *kw_endinterface;
1459 IdentifierInfo *kw_endmodule;
1460 IdentifierInfo *kw_endpackage;
1461 IdentifierInfo *kw_endprimitive;
1462 IdentifierInfo *kw_endprogram;
1463 IdentifierInfo *kw_endproperty;
1464 IdentifierInfo *kw_endsequence;
1465 IdentifierInfo *kw_endspecify;
1466 IdentifierInfo *kw_endtable;
1467 IdentifierInfo *kw_endtask;
1468 IdentifierInfo *kw_forever;
1469 IdentifierInfo *kw_fork;
1470 IdentifierInfo *kw_generate;
1471 IdentifierInfo *kw_highz0;
1472 IdentifierInfo *kw_highz1;
1473 IdentifierInfo *kw_iff;
1474 IdentifierInfo *kw_ifnone;
1475 IdentifierInfo *kw_ignore_bins;
1476 IdentifierInfo *kw_illegal_bins;
1477 IdentifierInfo *kw_initial;
1478 IdentifierInfo *kw_inout;
1479 IdentifierInfo *kw_input;
1480 IdentifierInfo *kw_inside;
1481 IdentifierInfo *kw_interconnect;
1482 IdentifierInfo *kw_intersect;
1483 IdentifierInfo *kw_join;
1484 IdentifierInfo *kw_join_any;
1485 IdentifierInfo *kw_join_none;
1486 IdentifierInfo *kw_large;
1487 IdentifierInfo *kw_local;
1488 IdentifierInfo *kw_localparam;
1489 IdentifierInfo *kw_macromodule;
1490 IdentifierInfo *kw_matches;
1491 IdentifierInfo *kw_medium;
1492 IdentifierInfo *kw_nounconnected_drive;
1493 IdentifierInfo *kw_output;
1494 IdentifierInfo *kw_packed;
1495 IdentifierInfo *kw_parameter;
1496 IdentifierInfo *kw_primitive;
1497 IdentifierInfo *kw_priority;
1498 IdentifierInfo *kw_program;
1499 IdentifierInfo *kw_property;
1500 IdentifierInfo *kw_pull0;
1501 IdentifierInfo *kw_pull1;
1502 IdentifierInfo *kw_pure;
1503 IdentifierInfo *kw_rand;
1504 IdentifierInfo *kw_randc;
1505 IdentifierInfo *kw_randcase;
1506 IdentifierInfo *kw_randsequence;
1507 IdentifierInfo *kw_repeat;
1508 IdentifierInfo *kw_resetall;
1509 IdentifierInfo *kw_sample;
1510 IdentifierInfo *kw_scalared;
1511 IdentifierInfo *kw_sequence;
1512 IdentifierInfo *kw_small;
1513 IdentifierInfo *kw_soft;
1514 IdentifierInfo *kw_solve;
1515 IdentifierInfo *kw_specify;
1516 IdentifierInfo *kw_specparam;
1517 IdentifierInfo *kw_strong0;
1518 IdentifierInfo *kw_strong1;
1519 IdentifierInfo *kw_supply0;
1520 IdentifierInfo *kw_supply1;
1521 IdentifierInfo *kw_table;
1522 IdentifierInfo *kw_tagged;
1523 IdentifierInfo *kw_task;
1524 IdentifierInfo *kw_timescale;
1525 IdentifierInfo *kw_tri0;
1526 IdentifierInfo *kw_tri1;
1527 IdentifierInfo *kw_tri;
1528 IdentifierInfo *kw_triand;
1529 IdentifierInfo *kw_trior;
1530 IdentifierInfo *kw_trireg;
1531 IdentifierInfo *kw_unconnected_drive;
1532 IdentifierInfo *kw_undefineall;
1533 IdentifierInfo *kw_unique;
1534 IdentifierInfo *kw_unique0;
1535 IdentifierInfo *kw_uwire;
1536 IdentifierInfo *kw_vectored;
1537 IdentifierInfo *kw_wand;
1538 IdentifierInfo *kw_weak0;
1539 IdentifierInfo *kw_weak1;
1540 IdentifierInfo *kw_wildcard;
1541 IdentifierInfo *kw_wire;
1542 IdentifierInfo *kw_with;
1543 IdentifierInfo *kw_wor;
1546 IdentifierInfo *kw_verilogHash;
1547 IdentifierInfo *kw_verilogHashHash;
1550 IdentifierInfo *kw_apostrophe;
1797 std::unordered_set<IdentifierInfo *> JsExtraKeywords;
1800 std::unordered_set<IdentifierInfo *> CSharpExtraKeywords;
1803 std::unordered_set<IdentifierInfo *> VerilogExtraKeywords;