Searched defs:AdditionalKeywords (Results 1 – 1 of 1) sorted by relevance
1028 struct AdditionalKeywords { global() struct 1029 AdditionalKeywordsAdditionalKeywords AdditionalKeywords() argument 1394 kw_finalAdditionalKeywords global() argument 1395 kw_overrideAdditionalKeywords global() argument 1396 kw_inAdditionalKeywords global() argument 1397 kw_ofAdditionalKeywords global() argument 1398 kw_CF_CLOSED_ENUMAdditionalKeywords global() argument 1399 kw_CF_ENUMAdditionalKeywords global() argument 1400 kw_CF_OPTIONSAdditionalKeywords global() argument 1401 kw_NS_CLOSED_ENUMAdditionalKeywords global() argument 1402 kw_NS_ENUMAdditionalKeywords global() argument 1403 kw_NS_ERROR_ENUMAdditionalKeywords global() argument 1404 kw_NS_OPTIONSAdditionalKeywords global() argument 1405 kw___exceptAdditionalKeywords global() argument 1406 kw___has_includeAdditionalKeywords global() argument 1407 kw___has_include_nextAdditionalKeywords global() argument 1410 kw_asAdditionalKeywords global() argument 1411 kw_asyncAdditionalKeywords global() argument 1412 kw_awaitAdditionalKeywords global() argument 1413 kw_declareAdditionalKeywords global() argument 1414 kw_finallyAdditionalKeywords global() argument 1415 kw_fromAdditionalKeywords global() argument 1416 kw_functionAdditionalKeywords global() argument 1417 kw_getAdditionalKeywords global() argument 1418 kw_importAdditionalKeywords global() argument 1419 kw_inferAdditionalKeywords global() argument 1420 kw_isAdditionalKeywords global() argument 1421 kw_letAdditionalKeywords global() argument 1422 kw_moduleAdditionalKeywords global() argument 1423 kw_readonlyAdditionalKeywords global() argument 1424 kw_setAdditionalKeywords global() argument 1425 kw_typeAdditionalKeywords global() argument 1426 kw_typeofAdditionalKeywords global() argument 1427 kw_varAdditionalKeywords global() argument 1428 kw_yieldAdditionalKeywords global() argument 1431 kw_abstractAdditionalKeywords global() argument 1432 kw_assertAdditionalKeywords global() argument 1433 kw_extendsAdditionalKeywords global() argument 1434 kw_implementsAdditionalKeywords global() argument 1435 kw_instanceofAdditionalKeywords global() argument 1436 kw_interfaceAdditionalKeywords global() argument 1437 kw_nativeAdditionalKeywords global() argument 1438 kw_packageAdditionalKeywords global() argument 1439 kw_synchronizedAdditionalKeywords global() argument 1440 kw_throwsAdditionalKeywords global() argument 1443 kw_markAdditionalKeywords global() argument 1444 kw_regionAdditionalKeywords global() argument 1447 kw_extendAdditionalKeywords global() argument 1448 kw_optionAdditionalKeywords global() argument 1449 kw_optionalAdditionalKeywords global() argument 1450 kw_repeatedAdditionalKeywords global() argument 1451 kw_requiredAdditionalKeywords global() argument 1452 kw_returnsAdditionalKeywords global() argument 1455 kw_signalsAdditionalKeywords global() argument 1456 kw_qsignalsAdditionalKeywords global() argument 1457 kw_slotsAdditionalKeywords global() argument 1458 kw_qslotsAdditionalKeywords global() argument 1461 kw_internal_ident_after_defineAdditionalKeywords global() argument 1464 kw_dollarAdditionalKeywords global() argument 1465 kw_baseAdditionalKeywords global() argument 1466 kw_byteAdditionalKeywords global() argument 1467 kw_checkedAdditionalKeywords global() argument 1468 kw_decimalAdditionalKeywords global() argument 1469 kw_delegateAdditionalKeywords global() argument 1470 kw_eventAdditionalKeywords global() argument 1471 kw_fixedAdditionalKeywords global() argument 1472 kw_foreachAdditionalKeywords global() argument 1473 kw_implicitAdditionalKeywords global() argument 1474 kw_initAdditionalKeywords global() argument 1475 kw_internalAdditionalKeywords global() argument 1477 kw_lockAdditionalKeywords global() argument 1478 kw_nullAdditionalKeywords global() argument 1479 kw_objectAdditionalKeywords global() argument 1480 kw_outAdditionalKeywords global() argument 1482 kw_paramsAdditionalKeywords global() argument 1484 kw_refAdditionalKeywords global() argument 1485 kw_stringAdditionalKeywords global() argument 1486 kw_stackallocAdditionalKeywords global() argument 1487 kw_sbyteAdditionalKeywords global() argument 1488 kw_sealedAdditionalKeywords global() argument 1489 kw_uintAdditionalKeywords global() argument 1490 kw_ulongAdditionalKeywords global() argument 1491 kw_uncheckedAdditionalKeywords global() argument 1492 kw_unsafeAdditionalKeywords global() argument 1493 kw_ushortAdditionalKeywords global() argument 1494 kw_whenAdditionalKeywords global() argument 1495 kw_whereAdditionalKeywords global() argument 1498 kw_alwaysAdditionalKeywords global() argument 1499 kw_always_combAdditionalKeywords global() argument 1500 kw_always_ffAdditionalKeywords global() argument 1501 kw_always_latchAdditionalKeywords global() argument 1502 kw_assignAdditionalKeywords global() argument 1503 kw_assumeAdditionalKeywords global() argument 1504 kw_automaticAdditionalKeywords global() argument 1505 kw_beforeAdditionalKeywords global() argument 1506 kw_beginAdditionalKeywords global() argument 1507 kw_begin_keywordsAdditionalKeywords global() argument 1508 kw_binsAdditionalKeywords global() argument 1509 kw_binsofAdditionalKeywords global() argument 1510 kw_casexAdditionalKeywords global() argument 1511 kw_casezAdditionalKeywords global() argument 1512 kw_celldefineAdditionalKeywords global() argument 1513 kw_checkerAdditionalKeywords global() argument 1514 kw_clockingAdditionalKeywords global() argument 1515 kw_constraintAdditionalKeywords global() argument 1516 kw_coverAdditionalKeywords global() argument 1517 kw_covergroupAdditionalKeywords global() argument 1518 kw_coverpointAdditionalKeywords global() argument 1519 kw_default_decay_timeAdditionalKeywords global() argument 1520 kw_default_nettypeAdditionalKeywords global() argument 1521 kw_default_trireg_strengthAdditionalKeywords global() argument 1522 kw_delay_mode_distributedAdditionalKeywords global() argument 1523 kw_delay_mode_pathAdditionalKeywords global() argument 1524 kw_delay_mode_unitAdditionalKeywords global() argument 1525 kw_delay_mode_zeroAdditionalKeywords global() argument 1526 kw_disableAdditionalKeywords global() argument 1527 kw_distAdditionalKeywords global() argument 1528 kw_elsifAdditionalKeywords global() argument 1529 kw_edgeAdditionalKeywords global() argument 1530 kw_endAdditionalKeywords global() argument 1531 kw_end_keywordsAdditionalKeywords global() argument 1532 kw_endcaseAdditionalKeywords global() argument 1533 kw_endcelldefineAdditionalKeywords global() argument 1534 kw_endcheckerAdditionalKeywords global() argument 1535 kw_endclassAdditionalKeywords global() argument 1536 kw_endclockingAdditionalKeywords global() argument 1537 kw_endfunctionAdditionalKeywords global() argument 1538 kw_endgenerateAdditionalKeywords global() argument 1539 kw_endgroupAdditionalKeywords global() argument 1540 kw_endinterfaceAdditionalKeywords global() argument 1541 kw_endmoduleAdditionalKeywords global() argument 1542 kw_endpackageAdditionalKeywords global() argument 1543 kw_endprimitiveAdditionalKeywords global() argument 1544 kw_endprogramAdditionalKeywords global() argument 1545 kw_endpropertyAdditionalKeywords global() argument 1546 kw_endsequenceAdditionalKeywords global() argument 1547 kw_endspecifyAdditionalKeywords global() argument 1548 kw_endtableAdditionalKeywords global() argument 1549 kw_endtaskAdditionalKeywords global() argument 1550 kw_foreverAdditionalKeywords global() argument 1551 kw_forkAdditionalKeywords global() argument 1552 kw_generateAdditionalKeywords global() argument 1553 kw_highz0AdditionalKeywords global() argument 1554 kw_highz1AdditionalKeywords global() argument 1555 kw_iffAdditionalKeywords global() argument 1556 kw_ifnoneAdditionalKeywords global() argument 1557 kw_ignore_binsAdditionalKeywords global() argument 1558 kw_illegal_binsAdditionalKeywords global() argument 1559 kw_initialAdditionalKeywords global() argument 1560 kw_inoutAdditionalKeywords global() argument 1561 kw_inputAdditionalKeywords global() argument 1562 kw_insideAdditionalKeywords global() argument 1563 kw_interconnectAdditionalKeywords global() argument 1564 kw_intersectAdditionalKeywords global() argument 1565 kw_joinAdditionalKeywords global() argument 1566 kw_join_anyAdditionalKeywords global() argument 1567 kw_join_noneAdditionalKeywords global() argument 1568 kw_largeAdditionalKeywords global() argument 1569 kw_localAdditionalKeywords global() argument 1570 kw_localparamAdditionalKeywords global() argument 1571 kw_macromoduleAdditionalKeywords global() argument 1572 kw_matchesAdditionalKeywords global() argument 1573 kw_mediumAdditionalKeywords global() argument 1574 kw_negedgeAdditionalKeywords global() argument 1575 kw_nounconnected_driveAdditionalKeywords global() argument 1576 kw_outputAdditionalKeywords global() argument 1577 kw_packedAdditionalKeywords global() argument 1578 kw_parameterAdditionalKeywords global() argument 1579 kw_posedgeAdditionalKeywords global() argument 1580 kw_primitiveAdditionalKeywords global() argument 1581 kw_priorityAdditionalKeywords global() argument 1582 kw_programAdditionalKeywords global() argument 1583 kw_propertyAdditionalKeywords global() argument 1584 kw_pull0AdditionalKeywords global() argument 1585 kw_pull1AdditionalKeywords global() argument 1586 kw_pureAdditionalKeywords global() argument 1587 kw_randAdditionalKeywords global() argument 1588 kw_randcAdditionalKeywords global() argument 1589 kw_randcaseAdditionalKeywords global() argument 1590 kw_randsequenceAdditionalKeywords global() argument 1591 kw_repeatAdditionalKeywords global() argument 1592 kw_resetallAdditionalKeywords global() argument 1593 kw_sampleAdditionalKeywords global() argument 1594 kw_scalaredAdditionalKeywords global() argument 1595 kw_sequenceAdditionalKeywords global() argument 1596 kw_smallAdditionalKeywords global() argument 1597 kw_softAdditionalKeywords global() argument 1598 kw_solveAdditionalKeywords global() argument 1599 kw_specifyAdditionalKeywords global() argument 1600 kw_specparamAdditionalKeywords global() argument 1601 kw_strong0AdditionalKeywords global() argument 1602 kw_strong1AdditionalKeywords global() argument 1603 kw_supply0AdditionalKeywords global() argument 1604 kw_supply1AdditionalKeywords global() argument 1605 kw_tableAdditionalKeywords global() argument 1606 kw_taggedAdditionalKeywords global() argument 1607 kw_taskAdditionalKeywords global() argument 1608 kw_timescaleAdditionalKeywords global() argument 1609 kw_tri0AdditionalKeywords global() argument 1610 kw_tri1AdditionalKeywords global() argument 1611 kw_triAdditionalKeywords global() argument 1612 kw_triandAdditionalKeywords global() argument 1613 kw_triorAdditionalKeywords global() argument 1614 kw_triregAdditionalKeywords global() argument 1615 kw_unconnected_driveAdditionalKeywords global() argument 1616 kw_undefineallAdditionalKeywords global() argument 1617 kw_uniqueAdditionalKeywords global() argument 1618 kw_unique0AdditionalKeywords global() argument 1619 kw_uwireAdditionalKeywords global() argument 1620 kw_vectoredAdditionalKeywords global() argument 1621 kw_wandAdditionalKeywords global() argument 1622 kw_weak0AdditionalKeywords global() argument 1623 kw_weak1AdditionalKeywords global() argument 1624 kw_wildcardAdditionalKeywords global() argument 1625 kw_wireAdditionalKeywords global() argument 1626 kw_withAdditionalKeywords global() argument 1627 kw_worAdditionalKeywords global() argument 1630 kw_verilogHashAdditionalKeywords global() argument 1631 kw_verilogHashHashAdditionalKeywords global() argument 1634 kw_apostropheAdditionalKeywords global() argument 1637 kw_bitAdditionalKeywords global() argument 1638 kw_bitsAdditionalKeywords global() argument 1639 kw_codeAdditionalKeywords global() argument 1640 kw_dagAdditionalKeywords global() argument 1641 kw_defAdditionalKeywords global() argument 1642 kw_defmAdditionalKeywords global() argument 1643 kw_defsetAdditionalKeywords global() argument 1644 kw_defvarAdditionalKeywords global() argument 1645 kw_dumpAdditionalKeywords global() argument 1646 kw_includeAdditionalKeywords global() argument 1647 kw_listAdditionalKeywords global() argument 1648 kw_multiclassAdditionalKeywords global() argument 1649 kw_thenAdditionalKeywords global() argument 1703 IsPseudoKeywordAdditionalKeywords global() argument 1729 isCSharpKeywordAdditionalKeywords isCSharpKeyword() argument 1782 isVerilogKeywordSymbolAdditionalKeywords isVerilogKeywordSymbol() argument 1786 isVerilogWordOperatorAdditionalKeywords isVerilogWordOperator() argument 1791 isVerilogIdentifierAdditionalKeywords isVerilogIdentifier() argument 1827 isVerilogPPDirectiveAdditionalKeywords isVerilogPPDirective() argument 1854 isVerilogBeginAdditionalKeywords isVerilogBegin() argument 1861 isVerilogEndAdditionalKeywords isVerilogEnd() argument 1873 isVerilogHierarchyAdditionalKeywords isVerilogHierarchy() argument 1888 isVerilogEndOfLabelAdditionalKeywords isVerilogEndOfLabel() argument 1899 isVerilogStructuredProcedureAdditionalKeywords isVerilogStructuredProcedure() argument 1904 isVerilogQualifierAdditionalKeywords isVerilogQualifier() argument 1925 isTableGenDefinitionAdditionalKeywords isTableGenDefinition() argument 1930 isTableGenKeywordAdditionalKeywords isTableGenKeyword() argument 1951 CSharpExtraKeywordsAdditionalKeywords global() argument 1954 VerilogExtraKeywordsAdditionalKeywords global() argument 1957 TableGenExtraKeywordsAdditionalKeywords global() argument